Announcement: Riviera-PRO EDU Available on EDA Playground

2014/08/13

We are excited to announce the availability of Aldec’s Riviera-PRO EDU simulator on EDA Playground. Riviera-PRO is a full-featured commercial simulator that has full support for SystemVerilog, VHDL, and SystemC.

The goal of EDA Playground has always been to accelerate learning and give engineers immedate hands-on exposure to simulating HDLs. Finally, for the first time ever, students and engineers can easily try out all of SystemVerilog’s features.

Riviera-PRO brings some key SystemVerilog features that previously were not available on EDA Playground:

  • Randomization

  • SystemVerilog assertions

  • Functional coverage

  • program blocks

The following video demonstrates SystemVerilog’s randomization and functional coverage features.